聪明文档网

聪明文档网

最新最全的文档下载
当前位置: 首页> 基于FPGA实现直接数字频率合成脉冲线性调频信号

基于FPGA实现直接数字频率合成脉冲线性调频信号

时间:2017-06-14 16:22:54    下载该word文档

基于FPGA实现直接数字频率合成脉冲线性调频信号

作者:王炜珽 李淑华  张文旭

来源:《现代电子技术》2015年第10

         要: 通过研究直接数字频率合成(DDS)技术的原理和电路结构,分析基于DDS技术合成脉冲线性调频信号(DDS⁃LFM)的可行性,给出两种DDS相位地址信号产生电路的原理结构。在此基础上分析DDS⁃LFM系统参数的设置问题,利用FPGA设计实现DDS⁃LFM系统的硬件电路。最后利用Matlab仿真软件对该系统输出的波形数据进行频谱分析,给出了归一化的幅频特性曲线和时频特性曲线。

        关键词: 直接频率合成技术; 脉冲线性调频信号; FPGA设计; Matlab仿真

        中图分类号: TN911⁃34 文献标识码: A 文章编号: 1004⁃373X201510⁃0010⁃06

        脉冲线性调频信号(LFM)作为一种非平稳时变信号在通信、雷达等领域有着广泛的应用,例如扩频通信因LFM带宽大的特点用其作为调制信号,高分辨率雷达因LFM时宽带宽积大、距离分辨力和速度分辨力高等特点用其作为频率源,因此研究线性调频信号的原理与产生方式非常有必要。传统的获得线性调频信号主要借助模拟法,而随着数字技术的不断发展,尤其是自1971年由美国人J.Tierncy等首次提出直接数字频率合成(DDS)技术以来,利用DDS技术合成脉冲线性调频信号(DDS⁃LFM)越来越受到人们的重视,并得到广泛的应用。本文基于FPGA平台实现了DDS⁃LFM系统,既可通过次级连接的D/A、滤波器等器件输出LFM波形,也可作为其他信号分析软件的数据来源开展相关理论研究。

        1 DDS技术合成线性调频信号的原理

        1.1 DDS基本原理

        DDS是一种将预存波形的相位量通过地址查表方式映射为幅度量并合成为输出信号的技术。DDS的关键在于使用合理的相位地址信号生成技术,以降低输出信号的频率杂散和波形失真。用来产生线性调频信号的DDS系统通常包含系统时钟(Fc)、频率控制、相位地址发生器、波形存储(ROM)、数/模转换(D/A)、低通滤波器(LPF6部分,其原理框图如图1所示。

  • 29.8

    ¥45 每天只需1.0元
    1个月 推荐
  • 9.9

    ¥15
    1天
  • 59.8

    ¥90
    3个月

选择支付方式

  • 微信付款
郑重提醒:支付后,系统自动为您完成注册

请使用微信扫码支付(元)

订单号:
支付后,系统自动为您完成注册
遇到问题请联系 在线客服

常用手机号:
用于找回密码
图片验证码:
看不清?点击更换
短信验证码:
新密码:
 
绑定后可用手机号登录
请不要关闭本页面,支付完成后请点击【支付完成】按钮
遇到问题请联系 在线客服