聪明文档网

聪明文档网

最新最全的文档下载
当前位置: 首页> 集成电路课程设计(CMOS二输入及门)

集成电路课程设计(CMOS二输入及门)

时间:    下载该word文档



课程设计任务书


学生姓名:王伟专业班级:电子1001指导教师:刘金根工作单位:信息工程学院:基于CMOS的二输入与门电路初始条件:
计算机、Cadence软件、L-Edit软件
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰
写等具体要求)
&

1、课程设计工作量:22、技术要求:
1)学习CadenceIC软件和L-Edit软件。2)设计一个基于CMOS的二输入的与门电路。
3)利用CadenceL-Edit软件对该电路进行系统设计、电路设计和版
图设计,并进行相应的设计、模拟和仿真工作。
3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。
时间安排:
布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。|
学习CadenceICL-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。
对二输入与门电路进行设计仿真工作,完成课设报告的撰写。提交课程设计报告,进行答辩。
指导教师签名:系主任(或责任教师)签名:




#


………………………………………………………………….....2


....………………………………………….…………………....3
一、设计要求...........................................4二、设计原理...........................................4三、设计思路...........................................4
3.1、非门电路...................................43.2、二输入与非门电路...........................6、二输入与门电路................................8
}


四、二输入与门电路设计.................................9
4.1、原理图设计.................................94.2、仿真分析..................................104.3、生成网络表................................13
五、版图设计........................………………………20
PMOS管版图设计…………..……………….……..20NMOS管版图设计…….…………………………..22与门版图设计……..………………….…………...23



%


、总版图DRC检查及SPC文件的生成…………....25





会………………………………………………..…….......28




献……………………………………………………....29


录…………………………………………………..…………...30



摘要
本文从设计到仿真以及后面的版图制作等主要用到了CadenceIC软件和L-Edit软件等。设计的题目是基于CMOS的二输入与门电路,电路设计的思路是使用一个二输入的与非门加一个反相器来实现二输入与门的功能,其中电路设计部分用的是CadenceIC软件,仿真部分主要做的是时序仿真,后面的版图制作用的是L-Edit软件,由于版图制作只使用了一个L-Edit软件,所以版图完成之后只做了一个基本的DRC检查。
关键词CMOS门电路、与非门、非门、与门


Abstract

Inthispaper,fromdesigntoproductionsimulationandthebackofthemap,mainlyusetheCadenceICsoftwareandL-Editsoftware,etc.DesignthetopicisbasedonCMOStwoinputandgate,circuitdesigntrainofthoughtistouseatwoinputnandgateandaninvertertorealizetheinputandthefunctionofthedoor,thecircuitdesignpartwithCadenceICsoftware,maindoistimingsimulation,simulationofthebackofthemapproductionusingL-Editsoftware,duetothemapmakingonlyUSESaL-Editsoftware,sothelayoutiscompletedonlydoneabasicDRCcheck.
Keywords:CMOSgate,NANDgate,NOTgate,ANDgate

;





绪论
随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。
集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。从制造工艺上可以将目前使用的数字集成电路分为双极型、单极型和混合型三种。而在数字集成电路中应用最广泛的就是CMOS集成电路,CMOS集成电路出现于20世纪60年代后期,随着其制造工艺的不断进步,CMOS电路逐渐成为当前集成电路的主流产品。本课程设计讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS门电路的制作是非常重要的。本文便是讨论的CMOS门电路的设计仿真及版图等的设计。
版图(Layout是集成电路设计者将设计并模拟优化后的电路转化成的一系列几何图形,包含了集成电路尺寸大小、各层拓扑定义等有关器件的所有物理信息。集成电路制造厂家根据版图来制造掩膜。版图的设计有特定的规则,这些规则是集成电路制造厂家根据自己的工艺特点而制定的。不同的工艺,有不同的设计规则。设计者只有得到了厂家提供的规则以后,才能开始设计。版图在设计的过程中要进行定期的检查,避免错误的积累而导致难以修改。很多集成电路的设计软件都有设计版图的功能,L-Edit软件的的版图设计软件帮助设计者在图形方式下绘制版图。
对于复杂的版图设计,一般把版图设计分成若干个子步骤进行:1划分为了将处理问题的规模缩小,通常把整个电路划分成若干个模块。


2版图规划和布局是为了每个模块和整个芯片选择一个好的布图方
案。



3)布线完成模块间的互连,并进一步优化布线结果。
4压缩是布线完成后的优化处理过程,他试图进一步减小芯片的面积。
一、设计要求
1、要求:用MOS器件来设计二输入与门电路。
2、内容:用Cadence软件进行电路原理图的绘制,生成网络表并进行交直流分析及瞬态分析。
3、用L-Edit软件进行电路版图的制作及DRC的检查。
二、设计原理


二输入与门有两个输入端AB以及一个输出端Q,只有当A端和B端同
时为高电平时输出才为高电平,否则输出都为低电平,即Q=AB。与门的电路符号和真值表如图1所示:

A00

11
B
/
Q0
0

1
%
00
0

11
1与门逻辑符号和真值表
*

由于此次是用CMOS管构建的二输入与门,CMOS管的基本门电路有非门、
与非门、或非门等,所以要想实现用CMOS管搭建出二输入与门电路,由关系Q=
=AB可知可以用一个二输入与非门和一个非门连接,这样就可以实现
一个二输入与门的电路。本次设计就是用一个二输入与非门加一个非门从而实



现了二输入与门的功能。
三、设计思路
非门电路
CMOS非门即反相器是由一个N管和一个P管组成的,P管源极接VddN管源极接GND,若输入IN为低电平,则P管导通,N管截止,输出OUT为高电平。若输入IN为高电平,则N管导通,P管截止,输出OUT为低电平。从而该电路实现了非的逻辑运算,构成了CMOS反相器。CMOS反相器的电路图如下图2所示.

2CMOS反相器电路图
Ui=UIH=VDD,VTN导通,VTP截止,Uo=Uol0VUi=UIL=0V,VTN截止,VTP导通,UO=UOHVDD
-

低电平输出特性
当输出为低电平时,即v0=VOL时,反相器的P沟道管截止、N沟道管导通,
工作状态如图3所示,低电平输入特性如图4所示。





;


3CMOS反相器的低电平输出状态

4CMOS反相器的低电平输出特性
(2高电平输出特性
当输出为高电平时,即v0=VOH时,反相器的N沟道管截止、P沟道管导通,工作状态如图5所示,低电平输入特性如图6所示。

'

5CMOS反相器的高电平输出状态


^



6低电平输入特性
还有就是CMOS电路的优点:
1)微功耗。CMOS电路静态电流很小,约为纳安数量级。



2)抗干扰能力很强。输入噪声容限可达到VDD/2
3)电源电压范围宽。多数CMOS电路可在318V的电源电压范围内正常工作。


4)输入阻抗高。

5)负载能力强。CMOS电路可以带50个同类门以上。6)逻辑摆幅大(低电平0V,高电平VDD
二输入与非门电路
二输入CMOS与非门电路,其中包括两个个串联的N沟道增强型MOS管和两个个并联的P沟道增强型MOS管。每个输入端连到一个N沟道和一个P沟道MOS管的栅极。当输入端AB中只要有一个为低电平时,就会使与它相连的NMOS管截止,与它相连的PMOS管导通,输出为高电平;仅当AB全为高电平时,才会使两个个串联的NMOS管都导通,使两个个并联的PMOS管都截止,输出为低电平。设计电路图如下图7所示:
7CMOS与非门电路
二输入与非门电路的逻辑符号和真值表如下图8所示:




{

8
A0011

B0101
Q11&10
如上图7中所示,设CMOS管的输出高电平为“1,低电平为“0,图中T2T4为两个串联的NMOS管,T1T3为两个并联的PMOS管,每个输入端(AB)都直接连到配对的NMOS管(驱动管)和PMOS(负载管)的栅极。当两个输入中有一个或一个以上为低电平“0”时,与低电平相连接的NMOS管仍截止,PMOS管导通,使输出Y为高电平,只有当两个输入端同时为高电平1时,T2T4管均导通,T1T3管都截止,输出Y为低电平。
由以上分析可知,该电路实现了逻辑与非功能,即Y=




二输入与门电路
在本次设计中,二输入CMOS与门电路是由一个二输入CMOS与非门电路和一个非门(反相器)组成,其中二输入与非门包括两个个串联的N沟道增强MOS管和两个个并联的P沟道增强型MOS管,而反相器是由一个N管和一个P管组成的。二输入与非门的输出即为反相器的输入,AB输入端连到一个N沟道和一个P沟道MOS管的栅极,输出极Q为反相器的输出端。当输入端AB中只要有一个为低电平时,与非门部分就会使与它相连的NMOS管截止,与它相连的PMOS管导通,输出为高电平,从而使反相器的输入为高电平,使反相器的NMOS管导通PMOS管截止,使反相器输出即Q端输出低电平;仅当AB全为高电平时,才会使与非门部分的两个串联的NMOS管都导通,使两个个并联的PMOS管都截止,输出为低电平进而使反相器部分的PMOS管导通NMOS截止,使输出端Q输出高电平,这样也就实现了二输入与门的功能。设计电路



图如下图8所示:

8
与门电路的逻辑符号和真值表如上文的图1中所示。


四、二输入与门电路设计
原理图设计
首先打开选择其中的DesignEntryCIS子软件,在弹出的窗口中选择orCADCaptureCIS,如下图9所示:




9软件选择
进入工作界面之后在菜单栏中选择File按钮然后选择New选项下面的子选项Project来建立新的工程,如下图10所示:

>

10新建工程文件
点击OK之后就能进入工作界面,如下图11所示:

11Cadence工作界面



点击菜单栏中的Place按钮选择Part选项调出元件库,然后点击右边
中的处加载需要用到的一些元件库。
从组件库引用模块:编辑反相器电路会利用到NMOS,PMOS,VddGnd4个模块,所以要从组件库中复制NMOS,PMOS,VddGnd4个模块到文件,并在PAGE1编辑画面中引用。最后画好的电路原理图如下图12中所示:

12二输入与门电路原理图



仿真分析
电路原理图画好之后接下来便是仿真分析了,Cadence软件提供了直流分析、交流分析、瞬态分析和静态工作点分析等四种分析模式。然而本次我们做的是门电路,输入输出信号都是电平信号,研究的是输入输出信号随时间的变化关系,所以只需要做瞬态分析就行了。
首先点击菜单栏中的Pspice按钮选择NewSimulation命令来新建一个仿真文件,在Name中输入仿真文件名,点击Creat后,在原来的工程文件夹中就会自动生成一个相应名字的文件夹,后面所做的仿真结果和工程均保存在该文件夹下,如下图13中所示




13仿真文件建立
完成上面的操作之后,会弹出如下图14中所示的仿真参数设置窗口

14仿真参数设置窗口


Analysistype(分析类型)中我们选取TimeDomainTransient(瞬
态分析)然后在后边的起始时间和终止时间分别设置0300ms分析时间步长设置为。完成之后点确定。再在仿真工具栏中点击图标样又调出了Pspice的界面,再点击
来进行仿真。
来加入观测波形,如下图15中所示:




13仿真端口选择界面最后出现的A输入端的波形如下图14中所示:

14A输入端波形
最后为了同时观测到AB输入端和输出端Q的波形,还能点击Plot单下的AddPlottoWindow命令来增加窗口显示的波形,最后加入B输入口Q输出口后的波形如下图15中所示:




>

15输入输出端波形显示
从图中可以看到只有当A端口和B端口同时为高电平时输出口Q才为高电平,否则输出口Q一直为低电平,波形显示出电路符合与门电路的功能,即Q=AB而且从图中还能看到输出口Q的波形中有一些分立线状波形,这些是由A输入端和B输入端处在上升或者下降沿的时候虽然电平并不是标准的高电平,但电压并不为0,在仿真的时候软件将这些电平统一作高电平处理,所以才会出现一些分立的线状波形存在。
生成网络表
电路仿真成功之后接下来就能生成网络表了,点击仿真界面左侧的图标
ViewSimulationOutputFile)就能看到生成的网络表,该电路的网络表如下:
****12/21/1323:02:10******PSpice16.5.0(April2011******ID#0**********
Profile:
"SCHEMATIC1-yumen"

[
D:\cadence
project\yumendianlu-pspicefiles\schematic1\]





****CIRCUITDESCRIPTION
******************************************************************************
**Creatingcircuitfile""
**WARNING:THISAUTOMATICALLYGENERATEDFILEMAYBEOVERWRITTENBYSUBSEQUENTSIMULATIONS
*Libraries:


*ProfileLibraries:*LocalLibraries:
*From[PSPICENETLIST]sectionofE:\Cadence\\tools\PSpice\file:.lib""
*Analysisdirectives:.TRAN0300ms00.1m
.PROBEV(alias(*I(alias(*W(alias(*D(alias(*NOISE(alias(*
(

.INC"..\"
****INCLUDING*****sourceYUMENDIANLU.EXTERNALOUTPUTQ
M_M1N00323N00394N00265N00265MbreakPM_M2N00323N00285N00265N00265MbreakPM_M3N00323N00285N003510MbreakN
(




M_M4N00351N0039400MbreakNM_M6QN0032300MbreakN
M_M5QN00323N00265N00265MbreakPV_V1N0026505VdcV_AN002850+PULSE05010ms20msV_BN003940+PULSE05020ms40ms


****RESUMING****.END




****12/21/1323:02:10******PSpice16.5.0(April2011******ID#0**********
Profile:
"SCHEMATIC1-yumen"

[
D:\cadence
project\yumendianlu-pspicefiles\schematic1\]
(

****MOSFETMODELPARAMETERS
******************************************************************************
MbreakPMbreakN
%

PMOSNMOSLEVEL11LW
VTO00KP
GAMMA00PHI.6.6
~

LAMBDA00IS



JS00PB.8.8PBSW.8.8CJ00CJSW00CGSO00
%

CGDO00CGBO00TOX00XJ00UCRIT+03+03DIOMOD11VFB00LETA00'

WETA00U000TEMP00VDD55XPART00






****12/21/1323:02:10******PSpice16.5.0(April2011******ID#0********


**Profile:"SCHEMATIC1-yumen"[D:\cadence
project\yumendianlu-pspicefiles\schematic1\]
****INITIALTRANSIENTSOLUTIONTEMPERATURE=DEGC
******************************************************************************
`


NODEVOLTAGENODEVOLTAGENODEVOLTAGENODEVOLTAGE
(Q(N00265(N00285(N00323
(N00351(N00394
,


VOLTAGESOURCECURRENTSNAMECURRENT




V_V1V_A+00V_B+00
~

TOTALPOWERDISSIPATIONWATTS
JOBCONCLUDED




****12/21/1323:02:10******PSpice16.5.0(April2011******ID#0********


**Profile:"SCHEMATIC1-yumen"[D:\cadence
project\yumendianlu-pspicefiles\schematic1\]
****JOBSTATISTICSSUMMARY
******************************************************************************
|

Totaljobtime(usingSolver1=.28
五、版图设计
管版图设计
由于L-Edit软件在进行电路版图设计之前首先得进行元器件版图的设计,而在本次电路中用到的元器件有PMOS管和NMOS管,所以在画与门版图之前首先要先绘制好PMOS管和NMOS管的版图。
1打开L-Edit程序:L-Edit会自动将工作文件命名为并显示在窗口的标题栏上,如下图16中所示。
2)另存为新文件:选择执行File/SaveAs子命令,打开“另存为”对话框,在“保存在”下拉列表框中选择存贮目录,在“文件名”文本框中输入新文件名称,如Ex1




16L-Edit菜单栏


3替换设置信息:用于将已有的设计文件的设定(如格点、图层等)
用于当前的文件中。选择执行File/ReplaceSetup子命令打开对话框,单击FromFileBrowserX:\\Samples\SPR\example1\文件,如下图17所示,单击OK就将文件中的格点、图层等设定应用在当前文件中。
17替换设置信息窗口
设置好这些之后其它的都选择系统默认的值就行,然后就可以开始元件版图的绘制了。首先绘制PMOS管的NWell层,在Layers面板的下拉列表中选NWell选项,再从Drawing工具栏中选择按钮,在Cell0编辑窗口画出横24格纵向15格的方形即为NWell,如图18中所示。




画好NWell层之后然后再继续按照规则一步步绘制好Active层、PSelect
层、Ploy层、ActiveContact层、Metal1层等,每设计好一层并将其摆放到规定的位置,然后进行一次DRC检查,确认是否有错误,一切都无误之后就能保存了,制作好的PMOS版图如图19中所示。
~


19PMOS管版图
管版图设计
PMOS管设计好并保存之后就能开始绘制NMOS管的版图了,新建NMOS单元:选择Cell/New命令,打开CreateNewCell对话框,在其中的Newcellname栏中输入nmos,单击OK按钮。
绘制NMOS单元:根据绘制PMOS单元的过程,依次绘制Active图层、NSelect图层、Ploy图层、ActiveContact图层与Metal1图层,完成后的NMOS单元如图20中所示。其中,Active宽度为14个栅格,高为5个栅格;Ploy宽为2个栅格,高为9个栅格;NSelect宽为18个栅格,高为9个栅格;两ActiveContact的宽和高皆为2个栅格;两个Metal1的宽和高皆为4个栅格。




20NMOS管版图
与门版图设计
·

在前两步中分别已经做好了PMOS管和NMOS管的版图设计,接下来就能开
始进行与门版图的搭建和连线了。
启动L-Edit程序,将文件另存为EX2将文件应用在当前的文件中,设定坐标和栅格。
复制单元:执行Cell/Copy命令,打开SelectCelltoCopy对话框,将中的nmos单元和pmos单元复制到文件中。
引用nmospmos单元:执行Cell/Instance命令,打开SelectCelltoInstance对话框,选择nmos单元单击OK按钮,可以在编辑画面出现一个nmos单元;再选择pmos单元单击OK,在编辑画面多出一个与nmos重叠的pmos元,可以用Alt键加鼠标拖曳的方法分开pmosnmos,如图21中所示。

21元件引用
由于本次绘制与门电路需要用到3PMOS管和3NMOS管,所以上步中的引用pmosnmos单元分别需要进行三次,然后再进行元器件之间的电路连接。


连接pmosnmos的漏极:由于反相器pmosnmos的漏极是相连的,可
利用Metal1nmospmos的右边扩散区有接触点处相连接,绘制出Metal1



宽为4个栅格、高为11个栅格,进行电气检查,没有错误,如图22中所示。

22版图DRC检查

按照电路原理图一步一步将所有的线路都连接好,然后再标出VddGND节点以及输入输出端口ABQ等节点。例如标注VddGND节点的方法是单击插入节点图标,再到绘图窗口中用鼠标左键拖曳出一个与上方电源线重叠的宽为39栅格、高为5个栅格的方格后,将自动出现EditObject(s对话框,在“On”框的下拉列表中选择Metal1,如图22中所示。在Portname栏内键Vdd,在TextAlignment选项中选择文字相对于框的位置的右边。然后单击“确定”按钮。用同样的方式标出GNDAB以及Q

$







22输入输出节点设置

放好上面的所有节点标号之后最整个二输入与门电路的版图就算做好了,
接下来再进行单元名称的修改。执行Cell/RenameCell命令,打开RenameCellCell0对话窗口,将cell名修改为yumen。最后画好的完整版图如下图23所示。

23二输入与门电路版图
总版图DRC检查及SPC文件的生成
版图画好之后接下来就是做总版图DRCERCLVS检查以及SPC文件的生成,由于本次设计是用的Cadence软件做的电路原理图设计,而版图设计是用L-Edit软件,所以无法做LVS检查,同时由于L-Edit软件只提供了DRC查,所以本次设计只做DRC检查。
选择Tools/DRC命令,打开DesignRuleCheck对话框,选中Writeerrorstofiles复选框将错误项目记录到文件或自行取文件名,单击“确定”按钮,进行设计规则检查,结果如图24中所示




24二输入与门版图DRC检查
%

从图28中可以看到,整个与门电路的版图DRC没有错误,然后接下来就
能生成SPC文件了。
执行Tools/Extract命令或单击图标,打开Extract对话框,在Extractdefinitionfile栏内选择X:\\Samples\SPR\example1\文件,如图25所示。




25SPC文件设置界面
选择Output标签页,在“Comments”栏中,选择Writenodesname选项,



在“Writenodesanddevicesas”栏内选中Names项,即设定输出节点以名SPICEincludestatement.includeX:\Tspice81\models\,然后单击Run按钮,即可提取文件,执行File/Open令,打开文件。最后与门电路的SPC文件如下:
*CircuitExtractedbyTannerResearch'sL-EditVersion/ExtractVersion;
]

*TDBFile:D:\课件\L-Edit\L-Edit\L-Edit\workdesk\*Cell:yumen
Version
*ExtractDefinitionFile:..\samples\spr\example1\*ExtractDateandTime:12/20/2013-20:17
.includeD:\课件\L-Edit\L-Edit\L-Edit\workdesk\
*Warning:LayerswithUnassignedFRINGECapacitance.
~

**
*NODENAMEALIASES*1=OUT(34,291*2=Vdd(-111,309*3=GND(-111,270*5=B,285
*

*6=A(-95,286
M1OUT4VddVddPMOSL=2uW=5u$(3133M2OUT4GNDGNDNMOSL=2uW=5u$(3133



M34BVddVddPMOSL=2uW=5u$301306M44AVddVddPMOSL=2uW=5u$(-85301-83306M54BGNDGNDNMOSL=2uW=5u$M64AGNDGNDNMOSL=2uW=5u$(-85-83
*TotalNodes:6*TotalElements:6
*TotalNumberofShortedElementsnotwrittentotheSPICEfile:0*OutputGenerationElapsedTime:sec*TotalExtractElapsedTime:sec.END
六、心得体会
此次课程设计在老师的悉心指导,同学们的热情帮助下,我已圆满完成了本次课程设计的要求。从课题选择到具体构思和内容以及数据的测试,我深刻体会到做事情不能急躁,从电路原理图的绘制到仿真,再到版图的制作,每一步都要要细心仔细的去完成。在这周时间所经历的学习和生活,我深刻感受到老师的精心指导和无私的关怀,让我受益匪浅。本次课程设计的名称为“二输入与门电路设计”,经过此次课设使我对Cadence软件和L-Edit软件的使用都有了更深刻的了解,这将对我以后的学习和工作带来莫大的帮助。








八、参考文献
权海洋主编。《超大规模集成电路设计与实践》西安电子科技大学出版社,2003年出版。
高德远主编。《超大规模集成电路-系统和电路的设计原理》,高等教育出版社,2003年出版。
贾新章等.OrCAD/CaptureCIS9实用教程。西安电子科技大学出版社,2000年出版。
贾新章等.OrCAD/Capture9实用教程。西安电子科技大学出版社,1999年出版。
邓红辉等译。CMOS集成电路版图---概念、方法与工具》,电子工业出版社,20063月出版。孙润等编著。TANNER集成电路设计教程》(第一、二册),北京希望电子出版





九、附录
二输入与门电路原理图

二输入与门电路版图






  • 29.8

    ¥45 每天只需1.0元
    1个月 推荐
  • 9.9

    ¥15
    1天
  • 59.8

    ¥90
    3个月

选择支付方式

  • 微信付款
郑重提醒:支付后,系统自动为您完成注册

请使用微信扫码支付(元)

订单号:
支付后,系统自动为您完成注册
遇到问题请联系 在线客服

常用手机号:
用于找回密码
图片验证码:
看不清?点击更换
短信验证码:
新密码:
 
绑定后可用手机号登录
请不要关闭本页面,支付完成后请点击【支付完成】按钮
遇到问题请联系 在线客服