聪明文档网

聪明文档网

最新最全的文档下载
当前位置: 首页> 正在进行安全检测...

正在进行安全检测...

时间:2023-11-14 21:14:36    下载该word文档
上海理工大学wsb929
实验七伪随机序列发生器设计
一、
实验目的
1掌握伪随机序列(m序列)发生器的基本原理和设计方法;2深入理解VHDLsignalvariable的不同及其应用;

二、设计描述及方法
在扩展频谱通信系统中,伪随机序列起着十分关键的作用。在直接序列扩频系统得发射端,伪随1伪随机序列概述
机序列将信息序列的频谱扩展,在接收端,伪随机序列将扩频信号恢复为窄带信号,进而完成信息的接收。
m序列又称为最长线形反馈移位寄存器序列,该序列具有很好的相关性能。m序列发生器的基本结构为:

其中(CrCr-1C0)为反馈系数,也是特征多项式系数。这些系数的取值为“1”或“01表示该反馈支路连通,0”表示该反馈支路断开。下图为实际m序列发生器的电路图:



图中利用D触发器级联的方式完成移位寄存器的功能。在系统清零后,D触发器输出状态均为低电平,为了避免m序列发生器输出全“0”信号,图中在“模二加”运算后添加了一个“非门”。从图ABCD四个节点均可得到同一m序列,只是序列的初始相位不同。
特征多项式系数决定了一个m序列的特征多项式,同时也决定了一个m序列。下表给出了部分m序列的反馈系数(表中的反馈系数采用八进制表示)

16
上海理工大学wsb929



2电路设计基本方法
本实验要设计一个寄存器级数为5m序列发生器,从m序列发生器反馈系数表可知,有三个反馈系数可选,即可以产生三种不同的m序列;在以下设计的接口描述中choice1downto0)为m序列选择输入信号,clk为时钟输入信号,reset为复位信号,psoutm序列输出信号。

clkreset
mps.vhd
psout
choice(1downto0三、程序代码
libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitympsisport(clk,reset:instd_logic;choice:instd_logic_vector(1downto0;psout:outstd_logic;endmps;architecturebehaveofmpsissignala:std_logic_vector(4downto0;signalk:std_logic;beginprocess(clk,reset,choice,a
17

免费下载 Word文档免费下载: 正在进行安全检测...

  • 29.8

    ¥45 每天只需1.0元
    1个月 推荐
  • 9.9

    ¥15
    1天
  • 59.8

    ¥90
    3个月

选择支付方式

  • 微信付款
郑重提醒:支付后,系统自动为您完成注册

请使用微信扫码支付(元)

订单号:
支付后,系统自动为您完成注册
遇到问题请联系 在线客服

常用手机号:
用于找回密码
图片验证码:
看不清?点击更换
短信验证码:
新密码:
 
绑定后可用手机号登录
请不要关闭本页面,支付完成后请点击【支付完成】按钮
遇到问题请联系 在线客服